Week of 23 January 2023

Updates: Made a Github account Began learning how to use Github and how to forks, branching, and pull requests Started looking through lab 1 without making significant progress

To Do: Continue becoming more comfortable with Git Complete lab 1 and begin lab 2

Week of 30 January 2023

Project Work:

This week was used to complete the onboarding lab for week 1.

I am a bit behind on labs and may have to speed up, but I got a bit stuck on part 2 and 3 because the separate include and src directories caused some sort of error that I couldn’t figure out. I was also confused on how to see any output. Below are some other notes I took during the lab.

Lab 1 notes

  • had difficulty figuring out what directory was at first and then was confused on what exactly to do to have the directory show up in the console, but I figured it out eventually!! successfully printed out “Hello World”
  • for part 2 I learned:
    • add_executable() will introduce a new program to be created
    • target_sources([new name] PRIVATE [file used.cpp]) will be the source file used with this new program
  • had some trouble figuring out how to actually run the new files that I made with name.hpp and name.cpp …
  • Questions I had:
  • when would you need a meta-build system?
  • is part 2 supposed to produce any output?

Week of 20 Feb 2023

Had a busy week so only had time to read through lab 3 and brush up on more verilog. Might plan to come up with a list of topics I’m unsure of and run through them with someone soon!

Week of 27 Feb 2023

Project work:

I actually finished this lab a while ago and literally just forgot that I didn’t test it… This week I sat down, installed homebrew, and had LOTS of fun learning how to properly use homebrew and how to test this lab. I didn’t realize that I didn’t have cmake installed or whatever is needed to run verilog stuff locally. Thankfully, Nicky K helped me out with the testing stuff using cmake and a friend from home helped yell at me until I learned homebrew and cmake fully (thumbs up, def wasn’t abused into obtaining knowledge) :)

I feel much more comfortable with github and verilog, as well as just identifying what exactly it is I struggle with/how to explain what I need help with to people!!

Week of 6 Mar 2023

Project work:

Lab 3 consisted of me staring at the test cases from lab 2 and trying to learn and implement them myself. I think I have a good handle on how to start a test case, but I think I may need a little bit more time to understand how to make sure when the test case is messed up vs when the program is messed up.

Week of 20 Mar 2023

Project work:

  • Working on lab 4 and finishing up last portions
  • Reading up on core and CPU

Took a bit of a break last week and so forgot to pull request literally anything. Getting back on track this week but getting slightly behind due to some personal stress and work overload.

Week of 27 Mar 2023

Project work:

I’m starting to work on documentation issues with Uma and Michael and figuring out what exactly I have to do now that I’ve finished uploading and fixing all my lab work. I also fixed up the formatting of my design notebook because it was ugly :) I had to review markdown to make everything pretty but overall I would say it’s actually really fun to do and organizing everything helps me review how the processor works as well.

Week of 3 Apr 2023

Project work:

  • ID/EX Latch Documentation completed
  • Planned out to work on a presentation with Uma for Markdown, Github stuff, and documentation
  • Edited CPU General Purpose Registers and IF/ID Latch docs with some tables to improve readability. (I learned how to do tables for Markdown while doing this!!)

Lowkey a slower week because I was swamped by my club’s events, but I still got some stuff done and I know exactly what to do for the following week at the very least! I’ll be working on the presentation with Uma, working on more NYU Core docs, and I might look more into doing core implementations as well because I don’t exactly know how to get started with it :D

Week of 10 Apr 2023

Project work:

As per Michael’s genius suggestion, I created a documentation template that, as you can see, really sped up the documentation flow. I’m pretty sick as I’m writing this so I’m looking to a pretty low work week as I recover from the excrutiating joint pain that is making even typing hard for me. But in between the ouch I will try to work on the presentation with Uma and begin looking/working on the branch address calculator that Michael has recently assigned to me! All in all, despite my own health issues, I would say that I’m really proud of the work I was able to do this past week!

Week of 17 Apr 2023

Project work:

As a lot of the files made were basically empty files, I got a lot more work done than I had anticipated given that I’m in excruciating pain LOL. Looking to start the branch address calculator soon and finishing up the presentation with Uma.

Week of 24 Apr 2023

Project work:

  • Finished Markdown/Github presentation with Uma
  • Began to read about branch address calculator and what needs to be done to try and get started.

I talked to Michael about working on the branch address calculator, and I don’t quite think that I’ll have it done amidst finals and projects running full speed at me. However, I will continue to be editing docs and outlining what I need to do with it in between study time!